00001
00002 --! @mainpage
00003 --! See test_entity.test_arch
00004
00005
00006 --! Normal library
00007 library ieee;
00008 --! Normal package
00009 use ieee.std_logic_1164.all;
00010
00011 --! Package to define a some functions / procedures
00012 entity test_entity is
00013 end entity test_entity;
00014
00015 --! Test architecture
00016 architecture test_arch of test_entity is
00017
00018 --! Signal outside group
00019 signal sig_outside_group : ;
00020 --! Type outside group
00021 type array_outside_group is array(1 to 2) of ;
00022
00023 --! @name Group 1
00024 --! @{
00025
00026 --! Signal inside group
00027 signal sig_inside_group : ;
00028 --! Type inside group
00029 type array_inside_group is array(1 to 2) of ;
00030
00031 --! @}
00032 begin
00033 end architecture test_arch;
00034