00001 00002 --! @mainpage 00003 --! See test_entity.test_arch 00004 00005 00006 --! Normal library 00007 library ieee; 00008 --! Normal package 00009 use ieee.std_logic_1164.all; 00010 00011 --! Package to define a some functions / procedures 00012 entity test_entity is 00013 end entity test_entity; 00014 00015 --! Test architecture 00016 architecture test_arch of test_entity is 00017 begin 00018 00019 --! Process which should be called test_process, not PROCESS_0 00020 test_process : process 00021 begin 00022 end process test_process; 00023 00024 end architecture test_arch; 00025